From 30c896841c65c78cb4cf048c0c26c8e62ee0cf05 Mon Sep 17 00:00:00 2001 From: Determinant Date: Sat, 27 Dec 2014 13:29:20 +0800 Subject: init --- mem.sh | 8 ++++++++ 1 file changed, 8 insertions(+) create mode 100755 mem.sh (limited to 'mem.sh') diff --git a/mem.sh b/mem.sh new file mode 100755 index 0000000..e6d93fb --- /dev/null +++ b/mem.sh @@ -0,0 +1,8 @@ +#!/bin/bash +export JMNAME='MEM' +export JTYPE='linegraph' +function trigger { + $CLIENT --add "$JID" $(python -c $'import psutil\nprint psutil.virtual_memory().free*.1/2**20') +} +export -f trigger +./monitor_daemon.sh -- cgit v1.2.3-70-g09d2