From f8c07b16a9a3366260052434dec126557ea2e173 Mon Sep 17 00:00:00 2001 From: Determinant Date: Thu, 24 Sep 2020 13:32:19 -0400 Subject: init --- memory.x | 5 +++++ 1 file changed, 5 insertions(+) create mode 100644 memory.x (limited to 'memory.x') diff --git a/memory.x b/memory.x new file mode 100644 index 0000000..e20ff2b --- /dev/null +++ b/memory.x @@ -0,0 +1,5 @@ +MEMORY +{ + FLASH : ORIGIN = 0x08000000, LENGTH = 128K + RAM : ORIGIN = 0x20000000, LENGTH = 20K +} -- cgit v1.2.3